FPGA-Based VFF-RLS Algorithm for Battery Insulation Detection in Electric Vehicles

Document Type

Article

Publication Title

World Electric Vehicle Journal

Abstract

As the adoption of electric vehicles (EVs) continues to rise, attention has switched to ensuring the safety of EV operations. The exponential growth in battery technology over the past several years has changed the face of energy storage and sparked a revolution in several industries. The degradation of battery insulation during regular use is a significant concern. The high voltage (HV) and current levels in HV electric vehicles pose a significant electrical threat.The advancement of electric vehicle technology has led to an increasing presence of HV electric equipment throughout the vehicle. The insulation strength and early health status detection of the batteries are essential in ensuring safety in EVs. This paper studies the different insulation detection techniques and the development of adaptive filter (AF) algorithms based on field-programmable gate arrays (FPGAs) for insulation detection. FPGAs are amongst the most accurate and fast detection techniques among all the insulation detection techniques used so far in electric vehicles. This study proposes an FPGA-based VFF-RLS algorithm for effectively implementing insulation detection in EVs. The experimental test results using FPGAs demonstrate that the proposed method can rapidly monitor changes in insulation resistance (IR). The VFFRLS-based FPGA technique works sufficiently well to reduce errors when dealing with variations in voltage and resistance conditions at the battery terminals.

DOI

10.3390/wevj15040129

Publication Date

4-1-2024

This document is currently not available here.

Share

COinS